Semiconductor Equipment and Materials International

3081 Zanker Road

San Jose, CA 95134-2127

Phone: 408.943.6900, Fax: 408.943.7943

hb khghgh1000A5682

Background Statement for SEMI Draft Document 6024

REAPPROVAL OF SEMI E30.5-0302 (Reapproved 0308) - Specification for Metrology Specific Equipment Model (MSEM)

Notice: This background statement is not part of the balloted item. It is provided solely to assist the recipient in reaching an informed decision based on the rationale of the activity that preceded the creation of this Document.

Notice: Recipients of this Document are invited to submit, with their comments, notification of any relevant patented technology or copyrighted items of which they are aware and to provide supporting documentation. In this context, “patented technology” is defined as technology for which a patent has issued or has been applied for. In the latter case, only publicly available information on the contents of the patent application is to be provided.

Background

Per SEMI Regulations § 8.9.1, the Originating TC Chapter shall review its Standards and decide whether to ballot the Standards for reapproval, revision, replacement, or withdrawal by the end of the fifth year after their latest publication or reapproval dates.

The Information and Control NA TC Chapter reviewed E30.5-0302 (Reapproved 0308) and recommended to issue a reapproval ballot.

Per SEMI Procedure Manual (NOTE 19), a reapproval Letter Ballot should include the Purpose, Scope, Limitations, and Terminology sections, along with the full text of any paragraph in which editorial updates are being made.

Voter requests for access to the full Standard or Safety Guideline must be made at least three business days before the voting deadline. Late requests may not be honored.

Review and Adjudication Information

Task Force Review / Committee Adjudication
Group: / GEM 300 TF / Information and Control NA TC Chapter
Date: / July 12, 2016 / July 13, 2016
Time & Timezone: / 11:00 AM-3:30 PM PDT / 8:00 AM -4:30 PM PDT
Location: / Marriott Marquis Hotel / Marriott Marquis Hotel
City, State/Country: / San Francisco, CA/USA / San Francisco, CA/USA
Leader(s)/Authors: / Brian Rubow (Cimetrix) / Brian Rubow (Cimetrix)
Jack Ghiselli (Ghiselli Consulting)
Standards Staff: / James Amano ()
408-943-7977 / James Amano ()
408-943-7977

Meeting details are subject to change, and additional review sessions may be scheduled if necessary. Contact the task force leaders or Standards staff for confirmation.

Telephone and web information will be distributed to interested parties as the meeting date approaches. If you will not be able to attend these meetings in person but would like to participate by telephone/web, please contact Standards staff.

Check www.semi.org/standards on calendar of event for the latest meeting schedule.

SEMI Draft Document 6024

REAPPROVAL OF SEMI E30.5-0302 (Reapproved 0308) - Specification for Metrology Specific Equipment Model (MSEM)

1 Purpose

1.1 This document establishes a Specific Equipment Model (SEM) for Metrology equipment (MSEM). The MSEM consists of equipment characteristics and behaviors that are applicable to this class of equipment and are required to be implemented in addition to the SEMI E30 fundamental requirements and additional capabilities.

2 Scope

2.1 The scope of this document is limited to defining the behavior of Metrology equipment as perceived by a SEMI Equipment Communications Standard 2 Message Content (SECS-II) host that complies with the SEMI E30 model. It defines the view of the equipment through the SECS II link. It does not define the internal operation of the equipment. It includes a specific processing state model as the basis for all equipment behavior of this class.

2.2 This document assumes that the SEMI E30 fundamental requirements and all additional capabilities except those noted in SEMI E30 Capabilities Section in this document have been implemented on the MSEM equipment. This document expands the SEMI E30 Standard requirements and capabilities in the areas of the processing state model, collection events, Process Program management, remote commands, data item variables, and coordinate systems.

NOTICE: This standard does not purport to address safety issues, if any, associated with its use. It is the responsibility of the users of this standard to establish appropriate safety and health practices and determine the applicability of regulatory or other limitations prior to use.

3 Limitations

3.1 The intent of this document is to facilitate the integration of Metrology equipment into an automated semiconductor factory. This document accomplishes this by defining an operational model for Metrology equipment as viewed by a factory automation controller. This definition provides a standard host interface and equipment operational behavior. This document applies specifically to Metrology equipment as used in a semiconductor factory environment. It is possible that this methodology and techniques may apply to other industries.

3.2 MSEM job parameters that specify material (e.g., carrier ID and substrate ID) and material locations
(e.g., carrier location ID and carrier slot ID) are intended for metrology equipment for 200 mm and smaller substrate.

4 Referenced Standards

4.1 SEMI Standards

SEMI E5 ¾ SEMI Equipment Communications Standard 2 Message Content (SECS-II)

SEMI E37.1 ¾ High-Speed SECS Message Services Single Selected-Session Mode (HSMS-SS)

SEMI E58 ¾ Automated Reliability, Availability, and Maintainability Standard (ARAMS): Concepts, Behavior, and Services

SEMI M20 — Practice for Establishing a Wafer Coordinate System

SEMI M21 ¾ Guide for Assigning Addresses to Rectangular Elements in a Cartesian Array

NOTICE: Unless otherwise indicated, all documents cited shall be the latest published versions.

5 Terminology

5.1 Abbreviations and Acronyms

5.1.1 GEM, n. — Generic Equipment Model

5.1.2 SEM, n. — Specific Equipment Model

5.1.3 SEM, n. — Scanning Electron Microscope

5.1.4 TCP/IP, n. — Transmission Communication Protocol/Internet Protocol

5.2 Definitions

5.2.1 alignment, n. — a procedure in which a coordinate system is established on a substrate or a portion of a substrate.

5.2.2 alignment mark, n. — a feature on a substrate selectively used for alignment.

5.2.3 alignment site, n. — a point within a feature on a substrate selectively used for alignment.

5.2.4 cleanup, n. — deselection of the current Process Program and removal of all material to output locations and any equipment specific activities required to transition the equipment into the IDLE state.

5.2.5 factory automation controller, n. — a computer system that provides integration of factory shop control and business systems with semiconductor equipment.

5.2.6 feature, n. — a distinctive item in a pattern, or a physical characteristic of the substrate. (e.g., line, point, a wafer flat).

5.2.7 field, n. — an exposure repeated in a regular manner on a substrate.

5.2.8 global alignment, n. — procedure which establishes a coordinate system for the entire substrate (see alignment). For silicon wafers, this coordinate system is defined in MSEM as the SEMI M20 coordinate system.

5.2.9 global pattern alignment, n. — a procedure which establishes a coordinate reference system relative to repeating features on an entire substrate. For silicon wafers, this coordinate system is defined in MSEM as the M20P coordinate system.

5.2.10 logical port, n. — one or more physical input or input/output ports that are controlled by the same execution of a Process Program.

5.2.11 M20P, adj. — a designation used for the global coordinate system defined within MSEM, that is established relative to a pattern on a silicon wafer.

5.2.12 material, n. — a piece or pieces of substrate, one or more substrate, a lot, a batch, or a run.

5.2.13 metrology equipment, n. — any equipment that collects and reports information on specific predetermined sites or features on a substrate with consistent data structure, or reports general information about the entire substrate.

5.2.14 notch, n. — a cut on the edge of a wafer that is commonly located with respect to a specific crystal plane that adheres to the SEMI M1 standard.

5.2.15 pattern, n. — the physical features on a substrate.

5.2.16 pre-align, n. — any alignment done prior to placing a substrate on a measurement process location.

5.2.17 registration, n. — positioning error between two features on different layers of a substrate.

5.2.18 safe state, n. — a state in which the equipment presents no danger to the product or user. This implies that safety interlocks are in place such that the equipment can be serviced without harm to the operator and that the material being processed has been removed from the processing station into an accessible location.

5.2.19 secondary alignment, n. — a procedure which improves the accuracy of the coordinate system mapping on a substrate in a limited area of the substrate.

5.2.20 site, n. — a single point on a substrate used for alignment, or the center of an area of the substrate within which measurements are made.

NOTICE: SEMI makes no warranties or representations as to the suitability of the standard(s) set forth herein for any particular application. The determination of the suitability of the standard(s) is solely the responsibility of the user. Users are cautioned to refer to manufacturer’s instructions, product labels, product data sheets, and other relevant literature respecting any materials or equipment mentioned herein. These standards are subject to change without notice.

By publication of this standard, Semiconductor Equipment and Materials International (SEMI) takes no position respecting the validity of any patent rights or copyrights asserted in connection with any item mentioned in this standard. Users of this standard are expressly advised that determination of any such patent rights or copyrights, and the risk of infringement of such rights are entirely their own responsibility.

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 1 Doc. 6024 ã SEMIâ